Notebookcheck Logo

Intel détaille les innovations en matière de processus et les noms des nœuds, Alder Lake 10 nm Enhanced SuperFin devient Intel 7 ; Intel 20A est le processus 2 nm pour 2024

Boîtier de test Intel Meteor Lake basé sur la technologie d'empilement 3D de Foveros. (Image Source : Intel)
Boîtier de test Intel Meteor Lake basé sur la technologie d'empilement 3D de Foveros. (Image Source : Intel)
Lors de l'événement Intel Accelerated, la société a déclaré qu'elle allait passer à une nomenclature de processus plus rationalisée en commençant par Alder Lake, qui fera partie d'Intel 7, suivi d'Intel 4 Meteor Lake en 2022/23. Intel a également annoncé son intention de se lancer dans le processus à l'échelle de l'angström avec le premier processeur 20A attendu en 2024, tout en détaillant les nouvelles améliorations apportées au packaging EMIB et Foveros

Intel a détaillé une série d'innovations en matière de processus et de conditionnement dans le cadre de sa stratégie de fabrication de dispositifs intégrés (IDM) 2.0 lors de son webcast Intel Accelerated d'aujourd'hui. Ces innovations nous donnent une idée de la feuille de route d'Intel en matière de processus jusqu'en 2025 et au-delà.

Intel estime que les chiffres indiqués pour désigner un nœud de processus ne sont " que des chiffres " et qu'il existe une incohérence inhérente dans le schéma de dénomination actuel. Par conséquent, la société n'indiquera plus le nœud de processus en termes de nanomètres mais utilisera un nouveau schéma de numérotation comme suit :

Intel 7: il s'agit du nouveau nom du processus SuperFin amélioré de 10 nm, qui sera utilisé dans les familles de processeurs Alder Lake (client, 2021) et Sapphire Rapids (centre de données, T1 2022). L'Intel 7 devrait offrir une augmentation des performances de 10 à 15 % par rapport au processus SuperFin actuel de 10 nm.

Intel 4: il s'agit de la partie 7 nm actuelle d'Intel qui utilise la lithographie à ultraviolets extrêmes (EUV) avec une augmentation estimée à 20 % des performances par watt. Intel 4 sera présent dans des produits tels que Meteor Lake (client) et Granite Rapids (centre de données), dont la production débutera au deuxième semestre 2022 et la livraison en 2023. La longueur d'onde ultra-courte de l'EUV permet à Intel de proposer des caractéristiques telles que 192 unités d'exécution (EU) dans l'iGPU de Meteor Lake et un nombre de cœurs considérablement accru (jusqu'à 120 ?) pour un processeur Granite Rapids à double matrice.

Intel 3: Intel 3 verra l'exploitation finale et maximale des optimisations FinFET. FinFET a fait ses débuts avec les processeurs 22 nm Ivy Bridge en 2011 et a depuis parcouru un long chemin. Intel 3 utilise davantage l'EUV pour une augmentation d'environ 18 % de la performance par watt par rapport à Intel 4, ainsi que des améliorations de surface supplémentaires. La fabrication d'Intel 3 commencera au deuxième semestre 2023.

Intel 20A: Avec Intel 20A, nous entrons officiellement dans l'ère de l'Angstrom (10 Angstroms = 1 nm). Intel 20A verra l'introduction d'une nouvelle architecture de transistors appelée RibbonFET pour une commutation plus rapide des transistors tout en obtenant le même courant d'attaque dans une empreinte plus petite. Parallèlement à RibbonFET, PowerVia, la première mise en œuvre par la société d'un mécanisme d'alimentation par l'arrière qui élimine le besoin d'acheminer l'alimentation sur la face avant de la plaquette, fera ses débuts.

Intel 20A entrera en service en 2024 et Qualcomm devrait être l'un des partenaires qui exploiteront ce nœud de processus.

Intel 18A et au-delà: Intel a déclaré qu'Intel 18A est déjà en cours de développement pour le début de 2025. Intel 18A utilisera des améliorations supplémentaires du RibbonFET et sera parmi les premiers à recevoir et à déployer la lithographie EUV à ouverture numérique élevée (NA) en collaboration avec le géant de la lithographie ASML.

Outre l'amélioration des processus susmentionnée, Intel a également détaillé sa feuille de route en matière de conditionnement. Intel Foundry Services (IFS) obtient également son premier client avec Amazon AWS.

EMIB: L'emballage EMIB a été présenté pour la première fois lors du lancement du processeur Kaby Lake-Gen 2017. Intel continuera d'investir dans EMIB, les Sapphire Rapids devant être la première famille Xeon à l'implémenter. Intel a déclaré qu'il s'agira du premier dispositif de taille à double particule de l'industrie qui se compare à une conception monolithique. La prochaine génération d'EMIB passera d'un pas de bosse de 55 microns à 45 microns.

Foveros: Foveros est la solution d'encapsulation 3D d'Intel qui a fait ses débuts avec le produit Lakefield qui a fait ses débuts avec les processeurs Lakefield. La deuxième génération de Foveros sera visible dans Meteor Lake en 2022 et se caractérisera par un pas de bosse de 36 microns, des tuiles couvrant plusieurs nœuds technologiques et un TDP compris entre 5 W et 125 W.

Foveros Omni: Foveros Omni permet une hétérogénéité encore plus grande dans les tuiles supérieures et inférieures du boîtier à travers différents nœuds. La fabrication en série devrait commencer en 2023.

Foveros Direct: Foveros Direct complétera Foveros Omni en 2023, permettant des pas de bosse inférieurs à 10 nm pour une densité d'interconnexion encore plus élevée dans l'empilement 3D. Foveros Direct utilisera la liaison directe cuivre-cuivre pour des interconnexions à faible résistance et estompera la frontière entre le boîtier et la plaquette.

La société a déclaré que de plus amples informations sur le processus et le conditionnement seront disponibles lors de l'événement Intel InnovatiON qui se tiendra à San Francisco les 27 et 28 octobre 2021.

Acheter le Intel Core i7-11700K sur Amazon

Source(s)

Webcast Intel Accelerated

Please share our article, every link counts!
> Revues et rapports de ordinateurs portatifs et smartphones, ordiphones > Archives des nouvelles 2021 07 > Intel détaille les innovations en matière de processus et les noms des nœuds, Alder Lake 10 nm Enhanced SuperFin devient Intel 7 ; Intel 20A est le processus 2 nm pour 2024
Vaidyanathan Subramaniam, 2021-07-27 (Update: 2021-07-27)